CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 除法器 VHDL

搜索资源列表

  1. 除法器

    0下载:
  2. 通过用硬件描述语言(VHDL)描述除法器,并进行模拟验证,加深对二进制数运算方法的理解。 设计平台:MaxPlusII 压缩文件内有详细设计报告 -by using Hardware Descr iption Language (VHDL) Descr iption division, and conduct simulation shows that the binary number deepen understanding of the operation. Design Pl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:50091
    • 提供者:johnmad
  1. 1.7运算器部件实验:除法器

    0下载:
  2. 这个是用vhdl语言编写的除法器,仅仅供大家参考.-the VHDL language is used to prepare for the division, just for reference.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:152080
    • 提供者:李乐雅
  1. vhdl实现除法器

    0下载:
  2. vhdl实现除法器
  3. 所属分类:其它资源

    • 发布日期:2010-10-27
    • 文件大小:1050
    • 提供者:sunchao1228
  1. VHDL除法器

    0下载:
  2. 用vhdl实现除法器,很好用,经过验证!
  3. 所属分类:源码下载

  1. divider.8位的除法器

    0下载:
  2. 8位的除法器。用VHDL语言进行设计实现。,8-bit divider. With VHDL design languages.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:5164
    • 提供者:张怡萍
  1. div(FLP).rar

    0下载:
  2. 是Nios II處理器下客製化指令的一個32位元浮點數除法器,可將兩IEEE 754格式的值進行相除,Nios II processors are customized instruction under a 32-bit floating-point divider can be two format IEEE 754 value division
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:18360
    • 提供者:TTJ
  1. divider

    1下载:
  2. 移位快速除法器,通过一次移4位试商实现快速除法功能,较普通减除法器有及其巨大的效率提升-Divider rapid shift by a shift to four test functions of rapid division, as compared with ordinary objects have less efficiency and its huge
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:900
    • 提供者:jh
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. vhd_divider

    0下载:
  2. lattice isplever7竟然没有除法库,只好在网上找了老外写的vhdl除法器-lattice isplever7 Treasury did not divide, so the Internet to find a foreigner to write the VHDL divider
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:6232
    • 提供者:guyh
  1. divide

    0下载:
  2. 除法器-Divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:wangzhide
  1. div16

    0下载:
  2. 十六位的除法器,采用verilog hdl-16 of the divider using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3105
    • 提供者:江浩
  1. baweichufaqi

    0下载:
  2. 介绍了利用VHDL实现八位除法,采用层次化设计,该除法器采用了VHDL的混合输入方式,将除法器分成若干个子模块后,对各个子模块分别设计,各自生成功能模块完成整体设计,实现了任意八位无符号数的除法。 -Introduced the use of VHDL to achieve eight division, the use of hierarchical design, the divider using VHDL mixed-input methods, will be divided in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4653
    • 提供者:佘斌
  1. dividend4

    0下载:
  2. 本设计是一个八位被除数除以四位除数,得到不超过四位的商的整数除法器。被除数、除数、商和余数都是无符号整数。-The design is an eight dividend divided by the divisor of four, to be not more than 4 business integer divider. Dividend, divisor, and remainder are unsigned integers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:485969
    • 提供者:howardmu123
  1. fpga_div

    0下载:
  2. Altera的FPGA,设计的硬件除法器-Altera' s FPGA, the design of the hardware divider
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1029809
    • 提供者:裴原
  1. Divider

    0下载:
  2. 一个用vhdl硬件描述语言实现的一个比较简单的除法器-an divider using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:148536
    • 提供者:maxpayne
  1. restoring

    0下载:
  2. restoring除法器设计 经典算法了,可以仿真通过-divider restoring a classical algorithm design, simulation can be adopted
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:600
    • 提供者:sumli
  1. juzhenqufaqi

    0下载:
  2. 基于FPGA单精度浮点除法器的实现,有一些源代码,仅供参考。-FPGA-based single-precision floating-point divider realization, there are some source code, for reference purposes only.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6227
    • 提供者:helinglin
  1. fast_divider

    0下载:
  2. 快速除法器,采用循环移位相减算法。 已经通过仿真。-Quick divider using cyclic shift subtraction algorithm. Simulation has been passed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:569
    • 提供者:neimty
  1. div_8

    0下载:
  2. 八位除法器 VHDL实现 八位除法器 VHDL实现-8-Bit divider 8-Bit divider 8-Bit divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1406
    • 提供者:郑书鑫
  1. divider

    0下载:
  2. 流水型除法器,经过FPGA平台验证。宽度可以任意修改,提供计算完毕信号。-Water-type divider, after a FPGA platform validation. Width can be modified to provide the calculation is completed the signal.
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:701
    • 提供者:liu
« 12 3 4 »
搜珍网 www.dssz.com